数字滤波器的MATLAB与FPGA实现――AlteraVerilog版杜勇出版 pdf下载pdf下载

数字滤波器的MATLAB与FPGA实现――AlteraVerilog版杜勇出版百度网盘pdf下载

作者:
简介:本篇提供书籍《数字滤波器的MATLAB与FPGA实现――AlteraVerilog版杜勇出版》百度网盘pdf下载
出版社:无限穿越图书专营店
出版时间:2019-06
pdf下载价格:0.00¥

免费下载


书籍下载


内容介绍

afaef85c414861bf.jpg
   图书基本信息
图书名称   数字滤波器的MATLAB与FPGA实现――Altera/Verilog版(第2版) 作者   杜勇
定价   88元 出版社   电子工业出版社
ISBN   9787121366093 出版日期   2019-06-01
字数    页码   364
版次    装帧   平装
开本   16开 商品重量   

   内容提要
本书以Altera公司的FPGA器件为开发平台,采用MATLAB及Verilog HDL语言开发工具,详细阐述了数字滤波器的实现原理、结构、方法及仿真测试过程,并通过大量工程实例分析其在FPGA实现过程中的具体技术细节。其主要内容包括FIR滤波器、IIR滤波器、多速率滤波器、自适应滤波器、变换域滤波器、解调系统的滤波器设计等。本书思路清晰、语言流畅、分析透彻,在简明阐述设计原理的基础上,追求对工程实践的指导性,力求使读者在较短的时间内掌握数字滤波器的FPGA设计知识和技能。

   目录
目  录<br/>章 数字滤波器及FPGA概述t(1)<br/>1.1 滤波器概述t(1)<br/>1.1.1 滤波器简介t(1)<br/>1.1.2 数字滤波器的分类t(3)<br/>1.1.3 滤波器的特征参数t(4)<br/>1.2 FPGA基本知识t(5)<br/>1.2.1 FPGA的基本概念及发展历程t(5)<br/>1.2.2 FPGA的结构和工作原理t(7)<br/>1.2.3 IP核的概念t(13)<br/>1.3 FPGA在数字信号处理中的应用t(14)<br/>1.4 Altera器件简介t(15)<br/>1.5 FPGA信号处理板CRD500t(17)<br/>1.5.1 CRD500简介t(17)<br/>1.5.2 CRD500的典型应用t(19)<br/>1.6 小结t(19)<br/>第2章 设计语言及环境介绍t(21)<br/>2.1 Verilog HDL语言简介t(21)<br/>2.1.1 HDL语言t(21)<br/>2.1.2 Verilog HDL简介和程序结构t(22)<br/>2.2 FPGA开发工具及设计流程t(25)<br/>2.2.1 Quartus II开发套件t(25)<br/>2.2.2 ModelSim仿真软件t(28)<br/>2.2.3 FPGA设计流程t(30)<br/>2.3 MATLAB软件t(32)<br/>2.3.1 MATLAB软件简介t(32)<br/>2.3.2 常用的信号处理函数t(35)<br/>2.3.3 滤波器设计分析工具FDATOOLt(42)<br/>2.4 MATLAB与Quartus II的联合应用t(43)<br/>2.5 小结t(44)<br/>第3章 FPGA实现数字信号处理基础t(45)<br/>3.1 数的表示t(45)<br/>3.1.1 莱布尼茨与二进制t(45)<br/>3.1.2 定点数表示法t(46)<br/>3.1.3 浮点数表示法t(48)<br/>3.2 FPGA中数的运算t(51)<br/>3.2.1 加/减法运算t(51)<br/>3.2.2 乘法运算t(54)<br/>3.2.3 除法运算t(55)<br/>3.2.4 有效数据位的计算t(55)<br/>3.3 有限字长效应t(58)<br/>3.3.1 字长效应的产生因素t(58)<br/>3.3.2 A/D转换的字长效应t(59)<br/>3.3.3 数字滤波器系数的字长效应t(60)<br/>3.3.4 滤波器运算中的字长效应t(61)<br/>3.4 FPGA的常用运算模块t(62)<br/>3.4.1 加法器模块t(62)<br/>3.4.2 乘法器模块t(64)<br/>3.4.3 除法器模块t(67)<br/>3.4.4 浮点数运算模块t(68)<br/>3.5 小结t(70)<br/>第4章 FIR滤波器的FPGA实现t(71)<br/>4.1 FIR滤波器的理论基础t(71)<br/>4.1.1 线性时不变系统t(71)<br/>4.1.2 FIR滤波器的原理t(74)<br/>4.1.3 FIR滤波器的特性t(74)<br/>4.1.4 FIR滤波器的结构形式t(78)<br/>4.2 FIR滤波器的设计方法t(83)<br/>4.2.1 窗函数法t(83)<br/>4.2.2 频率取样法t(85)<br/>4.2.3 设计方法t(85)<br/>4.3 FIR滤波器的MATLAB设计t(86)<br/>4.3.1 采用fir1函数设计t(86)<br/>4.3.2 采用kaiserord函数设计t(92)<br/>4.3.3 采用fir2函数设计t(93)<br/>4.3.4 采用firpm函数设计t(94)<br/>4.3.5 采用FDATOOL设计滤波器t(96)<br/>4.4 FIR滤波器的FPGA实现t(98)<br/>4.4.1 滤波器系数的量化t(98)<br/>4.4.2 串行FIR滤波器的FPGA实现t(100)<br/>4.4.3 并行FIR滤波器的FPGA实现t(107)<br/>4.4.4 分布式FIR滤波器的FPGA实现t(110)<br/>4.4.5 采用FIR核实现t(112)<br/>4.4.6 不同结构FIR滤波器的性能对比分析t(115)<br/>4.5 FIR滤波器的板载测试t(116)<br/>4.5.1 硬件接口电路t(116)<br/>4.5.2 板载测试程序t(116)<br/>4.5.3 板载测试验证t(121)<br/>4.6 小结t(122)<br/>第5章 IIR滤波器的FPGA实现t(125)<br/>5.1 IIR滤波器的理论基础t(125)<br/>5.1.1 IIR滤波器的原理及特性t(125)<br/>5.1.2 IIR滤波器的结构形式t(126)<br/>5.1.3 IIR滤波器与FIR滤波器的比较t(128)<br/>5.2 IIR滤波器的设计方法t(129)<br/>5.2.1 几种典型的模拟滤波器t(129)<br/>5.2.2 原型转换设计法t(131)<br/>5.2.3 直接设计法t(132)<br/>5.3 IIR滤波器的MATLAB设计t(133)<br/>5.3.1 采用butter函数设计滤波器t(133)<br/>5.3.2 采用cheby1函数设计滤波器t(134)<br/>5.3.3 采用cheby2函数设计滤波器t(135)<br/>5.3.4 采用ellip函数设计滤波器t(135)<br/>5.3.5 采用yulewalk函数设计滤波器t(136)<br/>5.3.6 几种设计函数的比较t(136)<br/>5.3.7 采用FDATOOL设计滤波器t(138)<br/>5.4 IIR滤波器的FPGA实现t(139)<br/>5.4.1 直接型IIR滤波器的系数及运算字长t(139)<br/>5.4.2 直接型IIR滤波器的FPGA实现t(143)<br/>5.4.3 直接型IIR滤波器FPGA实现后的测试仿真t(149)<br/>5.4.4 级联型IIR滤波器的系数t(154)<br/>5.4.5 级联型IIR滤波器的FPGA实现t(156)<br/>5.4.6 级联型IIR滤波器FPGA实现后的测试仿真t(164)<br/>5.5 IIR滤波器的板载测试t(165)<br/>5.5.1 板载测试硬件接口电路t(165)<br/>5.5.2 板载测试程序t(166)<br/>5.5.3 板载测试验证t(169)<br/>5.6 小结t(170)<br/>第6章 多速率滤波器的FPGA实现t(171)<br/>6.1 多速率信号处理基础知识t(171)<br/>6.1.1 多速率信号处理的概念及作用t(171)<br/>6.1.2 多速率信号处理的一般步骤t(172)<br/>6.1.3 软件无线电中的多速率信号处理t(173)<br/>6.2 抽取与内插处理t(174)<br/>6.2.1 整数倍抽取t(174)<br/>6.2.2 整数倍内插t(176)<br/>6.2.3 比值为有理数的速率转换t(178)<br/>6.3 CIC滤波器t(178)<br/>6.3.1 CIC滤波器的原理t(179)<br/>6.3.2 CIC滤波器的应用条件t(181)<br/>6.3.3 单级CIC滤波器的FPGA实现t(183)<br/>6.3.4 多级CIC滤波器的FPGA实现t(185)<br/>6.3.5 CIC滤波器IP核的使用t(192)<br/>6.4 半带滤波器t(195)<br/>6.4.1 半带滤波器的原理t(195)<br/>6.4.2 半带滤波器的MATLAB设计t(195)<br/>6.4.3 多级半带滤波器的设计t(198)<br/>6.4.4 多级半带滤波器的FPGA实现t(200)<br/>6.5 多相分解技术t(207)<br/>6.5.1 多相分解技术的一般概念t(207)<br/>6.5.2 整数倍抽取滤波器的多相结构t(208)<br/>6.6 多速率滤波器的板载测试t(211)<br/>6.6.1 硬件接口电路t(211)<br/>6.6.2 板载测试程序t(212)<br/>6.6.3 板载测试验证t(214)<br/>6.7 小结t(215)<br/>第7章 自适应滤波器的FPGA实现t(217)<br/>7.1 自适应滤波器简介t(217)<br/>7.1.1 自适应滤波器的概念t(217)<br/>7.1.2 自适应滤波器的应用t(218)<br/>7.1.3 自适应算法的一般原理t(220)<br/>7.2 LMS算法t(222)<br/>7.2.1 LMS算法的原理t(222)<br/>7.2.2 LMS算法的实现结构t(223)<br/>7.2.3 LMS算法的字长效应t(224)<br/>7.2.4 符号LMS算法原理t(225)<br/>7.2.5 LMS算法的MATLAB仿真t(226)<br/>7.3 自适应线性滤波器的FPGA实现t(230)<br/>7.3.1 自适应线性滤波器的原理t(230)<br/>7.3.2 利用线性滤波器实现通道失配校正t(231)<br/>7.3.3 校正算法的MATLAB仿真t(231)<br/>7.3.4 校正算法的Verilog HDL实现t(234)<br/>7.3.5 FPGA实现后的仿真测试t(238)<br/>7.4 自适应均衡器的FPGA实现t(239)<br/>7.4.1 自适应均衡器的原理t(239)<br/>7.4.2 自适应均衡器的MATLAB仿真t(240)<br/>7.4.3 自适应均衡器的Verilog HDL实现t(243)<br/>7.4.4 FPGA实现后的仿真测试t(247)<br/>7.5 自适应天线阵的FPGA实现t(248)<br/>7.5.1 自适应天线阵的概念及原理t(248)<br/>7.5.2 自适应天线阵的MATLAB仿真t(251)<br/>7.5.3 自适应天线阵的Verilog HDL实现t(253)<br/>7.5.4 FPGA实现后的仿真测试t(257)<br/>7.6 自适应陷波器的FPGA实现t(258)<br/>7.6.1 自适应陷波器原理t(258)<br/>7.6.2 自适应陷波器的MATLAB仿真t(259)<br/>7.6.3 自适应陷波器的Verilog HDL实现t(262)<br/>7.6.4 FPGA实现后的仿真测试t(266)<br/>7.7 自适应陷波器的板载测试t(267)<br/>7.7.1 硬件接口电路t(267)<br/>7.7.2 板载测试程序t(267)<br/>7.7.3 板载测试验证t(272)<br/>7.8 小结t(273)<br/>第8章 变换域滤波器的FPGA实现t(275)<br/>8.1 变换域滤波器简介t(275)<br/>8.2 快速傅里叶变换t(276)<br/>8.2.1 离散傅里叶变换t(276)<br/>8.2.2 DFT存在的问题t(277)<br/>8.2.3 FFT算法的基本思想t(279)<br/>8.2.4 FFT算法的MATLAB仿真t(280)<br/>8.3 FFT核的使用t(281)<br/>8.3.1 FFT核简介t(281)<br/>8.3.2 FFT核的接口及时序t(283)<br/>8.4 频域滤波器的原理及MATLAB仿真t(284)<br/>8.4.1 抗窄带干扰滤波器的原理t(284)<br/>8.4.2 检测门限的选取t(285)<br/>8.4.3 频域滤波器的MATLAB仿真t(286)<br/>8.5 频域滤波器的FPGA实现t(289)<br/>8.5.1 FPGA实现的总体结构设计t(289)<br/>8.5.2 速率变换模块的设计与实现t(290)<br/>8.5.3 FFT及滤波设计与实现t(295)<br/>8.5.4 IFFT及数据输出设计与实现t(300)<br/>8.5.5 顶层文件设计及实现t(304)<br/>8.5.6 FPGA实现后的仿真测试t(306)<br/>8.6 频域滤波器的板载测试t(307)<br/>8.6.1 硬件接口电路t(307)<br/>8.6.2 板载测试程序t(307)<br/>8.6.3 板载测试验证t(311)<br/>8.7 小结t(312)<br/>第9章 DPSK解调系统的FPGA实现t(313)<br/>9.1 数字接收机的一般原理t(313)<br/>9.1.1 通用数字接收机处理平台t(313)<br/>9.1.2 基本调制/解调技术t(314)<br/>9.1.3 改进的数字调制/解调技术t(316)<br/>9.2 DPSK调制/解调原理t(317)<br/>9.2.1 DPSK调制原理及信号特征t(317)<br/>9.2.2 DPSK信号的MATLAB仿真t(319)<br/>9.2.3 DPSK解调原理t(321)<br/>9.3 DPSK解调参数设计t(324)<br/>9.3.1 数字下变频器的设计t(324)<br/>9.3.2 低通滤波器的设计t(325)<br/>9.3.3 数字鉴相器的设计t(327)<br/>9.3.4 环路滤波器的设计t(327)<br/>9.3.5 载波同步环设计的一般步骤t(329)<br/>9.4 Costas环的FPGA实现t(331)<br/>9.4.1 顶层模块的Verilog HDL实现t(331)<br/>9.4.2 鉴相器及环路滤波器的Verilog HDL实现t(333)<br/>9.4.3 Costas环实现后的仿真测试t(335)<br/>9.5 Costas环的板载测试t(336)<br/>9.5.1 硬件接口电路t(336)<br/>9.5.2 板载测试程序t(337)<br/>9.5.3 板载测试验证t(339)<br/>9.6 小结t(340)<br/>参考文献t(341)

   作者介绍
杜勇,四川省广安市人,高级工程师。1999年于湖南大学获电子工程专业学士学位,2005年于国防科技大学获信息与通信工程专业硕士学位。主要从事数字信号处理、无线通信以及FPGA应用技术研究。发表学术论文十余篇,出版《数字滤波器的MATLAB与FPGA实现(第2版)》、《数字通信同步技术的MATLAB与FPGA实现》、《数字调制解调技术的MATLAB与FPGA实现》等多部著作。