国外数字系统设计经典教材系列:Verilog嵌入式数字系统设计教程 pdf下载pdf下载

国外数字系统设计经典教材系列:Verilog嵌入式数字系统设计教程百度网盘pdf下载

作者:
简介:本篇提供书籍《国外数字系统设计经典教材系列:Verilog嵌入式数字系统设计教程》百度网盘pdf下载
出版社:金榜图书京东自营官方旗舰店
出版时间:2009-07
pdf下载价格:0.00¥

免费下载


书籍下载


内容介绍

编辑推荐

  《Verilog嵌入式数字统设计教程》是通过系统设计的背景来讲解数字设计的,作者采用了这种最先进的现代纯的教学途径。《Verilog嵌入式数字系统设计教程》尽量缩减与目前实际设计方法没有关系的已过时篇幅,而把讲述的重点放在现代化的和不断发展着的设计技术上基于硬件描述语言(HDL)的设计和验证是《Verilog嵌入式数字系统设计教程》的讲解重点,书中使用了大量的Verilog例子,通过把数字逻辑作为嵌入式系统设计的一部分进行讲解,有效地加深了读者对硬件的理解嵌入式数字系统是由硬件和软件两部分组成的,因此数字系统的设计和分析必须要有硬件的基础知识《Verilog嵌入式数字系统设计教程》可为计算机工程、计算机科掌和电子工程学科的学生学习数字设计打下坚实的基地。Verilog嵌入式数字系统设计教程Digital Design:An Embedded Systems Approach Using Verilog   从系统设计的内容和视角,对数字设计进行讲解  大量列举Verilog例子,以展示硬件描述语言(HDL)在抽象行为级和寄存器传输级的使用,以及如何用于低层次的验证和验证环境的梅建。  全书始终包含许多可以实际运行的例子,帮助读者加深对书中内容的理解,并提升本书的保存价值。  相应的网页可连接到:Synplicity、Mentor Graphics和Xilinx的FPGA设计工具,本书中所有例子的Verilog源代码,讲课用幻灯片,实验室硬项目,练习题的解答。

内容简介

  《Verilog嵌入式数字系统设计教程》通过系统设计的背景来讲解数字设计,全面覆盖了与嵌入式系统设计相关的各个方面,其中各章节不仅讲述了逻辑设计本身,还阐述了处理器、存储器、输入/输出接口和实现技术。 《Verilog嵌入式数字系统设计教程》特别强调在数字系统设计时,除了考虑逻辑设计外,还必须考虑用现实世界的工程方法来实现嵌入式系统的设计存在的许多约束条件和制约因素,诸如电路面积、电路的互连、接口的需求、功耗和速度性能等,重点讲解基于硬件描述语言(HDL)的设计和验证。全书列举了大量的Verilog例子,通过把数字逻辑作为嵌入式系统设计的一部分进行讲解,有效地加深读者对硬件的理解。《Verilog嵌入式数字系统设计教程》可为计算机工程、计算机科学和电子工程学科的学生学习数字设计打下坚实的基础。

作者简介

  阿申登(Peter J,Ashenden)是阿德莱德太学的副教授靶,Ashenden Design公司的创办人这是一家专门从事电子设计自动化EDA业务的咨询公司Ashenden博士一直专心致力于IEEE VHDL标准委员会的工作,并在VHDL语言的进一步发展中,缝续发挥重要作用 在2003—2005年期间,他曾担任IEEE设计自动化标准委员会的主席,该委员会负责管理EDA领域所有IEEE标准的开发和制定,他目前是VHDL,VHDLAMS及罗枣塞塔规范语言Rosetta specification language标准的技术编辑。
  译者简介:
  夏字闻,多年来一直从事数字逻辑和嵌入式系统的设计研究,与国际设计界有着密切的技术联系。近十年来一直从事Verifog HDL教学和设计研究工作,是国内第一本verilog数字系统没计教材的作者,并受到广泛好评。

内页插图

精彩书评

  Verilog嵌入式数字系统设计教程Digital Design:An Embedded Systems Approach Using Verilog
  从系统设计的内容和视角,对数字设计进行讲解
  大量列举Verilog例子,以展示硬件描述语言(HDL)在抽象行为级和寄存器传输级的使用,以及如何用于低层次的验证和验证环境的梅建。
  全书始终包含许多可以实际运行的例子,帮助读者加深对书中内容的理解,并提升本书的保存价值。
  相应的网页可连接到:Synplicity、Mentor Graphics和Xilinx的FPGA设计工具,本书中所有例子的Verilog源代码,讲课用幻灯片,实验室硬项目,练习题的解答。
  《Verilog嵌入式数字系统设计教程》这本书所阐述的内容是基于反映现实世界数字系统设计实践活动的现代观点的。目前,大学教程通常远远落后于工业界的开发技术,在这种时刻,本书的出版为计算机工程,电子工程和计算机科学的学生提供了必要的信息。”
Donald Hung,圣何塞州立(San Jose State)大学
  Peter J.Ashenden正在为教育下一代的数字逻辑设计师,身先士卒,带头开辟一条通向新课程的道路。由于认识到数字设计已经从以门逻辑组装为中心的专用逻辑,转变为以处理器设计为中心的嵌入式系统,Ashenden博士把关注的焦点从门转向现代设计和复杂集成器件的整合,这些集成器供的物理实现可以采用许多种形式
   Grant Martin,首席科学家,Tensilica公司

目录

第1章 引言和方法学
1.1 数字系统和嵌入式系统
1.2 二进制表示法和电路元件
1.3 实际的电路
1.3.1 集成电路
1.3.2 逻辑电平
1.3.3 静态负载电平
1.3.4 电容负载和传播延迟
1.3.5 线路延迟
1.3.6 时序
1.3.7 电源
1.3.8 面积和芯片封装
1.4 模型
1.5 设计方法学
1.6 全章总结
1.7 进一步阅读的参考资料
练习题

第2章 组合电路基本知识
2.1 布尔函数与布尔代数
2.1.1 布尔函数
2.1.2 布尔代数
2.1.3 布尔方程的Verilog模型
2.2 二进制编码
2.2.1 使用向量的二进制编码
2.2.2 位错误
2.3 组合元件和集成电路
2.3.1 解码器和编码器
2.3.2 多路选择器
2.3.3 低电平有效逻辑
2.4 组合电路的验证
2.5 本章总结
2.6 进一步阅读的参考资料
练习题

第3章 数字基础
3.1 无符号整数
3.1.1 无符号整数的编码
3.1.2 无符号整数的运算
3.1.3 格雷码(Gray code)
3.2 有符号整数
3.2.1 有符号整数的编码
3.2.2 有符号整数的操作
3.3 定点数
3.3.1 定点数的编码
3.3.2 对定点数的操作
3.4 浮点数
3.5 本章总结
3.6 进一步阅读的参考资料
练习题

第4章 时序电路基础
4.1 存储单元
4.1.1 触发器和寄存器
4.1.2 移位寄存器
4.1.3 锁存
4.2 计数器
4.3 顺序数据路径和控制
4.4 由时钟同步的时序方法学
4.4.1 异步输入
4.4.2 时序电路的验证
4.4.3 异步时序的方法学
4.5 本章总结
4.6 进一步阅读的参考资料
练习题

第5章 存储器
5.1 一般概念
5.2 存储器的类型
5.2.1 异步静态RAM
5.2.2 同步静态RAM
5.2.3 多端口存储器
5.2.4 动态RAM
5.2.5 只读存储器
5.3 错误的检测与校正
5.4 本章总结
5.5 进一步阅读的参考资料
练习题

第6章 实现技术和工艺
6.1 集成电路
6.1.1 集成电路的制造
6.1.2 SSI和MSI逻辑系列
6.1.3 专用集成电路
6.2 可编程逻辑器件
6.2.1 可编程逻辑阵列
6.2.2 复杂可编程逻辑器件
6.2.3 现场可编程门阵列
6.3 集成电路的封装和印刷线路板
6.4 互连和信号完整性
6.5 本章总结
6.6 进一步阅读的参考资料
练习题

第7章 处理器基础
7.1 嵌入式计算机的组织
7.2 指令和数据
7.2.1 Gumnut处理器的指令集合
7.2.2 Gumnut汇编器
7.2.3 指令编码
7.2.4 其余的CPU指令集
7.3 与存储器的接口
7.4 本章总结
7.5 进一步阅读的参考资料
练习题

第8章 接口
8.1 输入/输出设备
8.1.1 输入设备
8.1.2 输出设备
8.2 I/o控制器
8.2.1 简单的I/O控制器
8.2.2 自主管理的I/O控制器
8.3 并行总线
8.3.1 总线的复用
8.3.2 三态总线
8.3.3 漏极开路总线
8.3.4 总线协议
8.4 串行传输
8.4.1 串行传输技术
8.4.2 串行接口标准
8.5 I/0软件
8.5.1 巡回检测
8.5.2 中断
8.5.3 定时器
8.6 本章总结
8.7 进一步阅读的参考资料
练习题

第9章 加速器
9.1 一般概念
9.2 案例研究:视频边缘检测
9.3 加速器的验证
9.4 本章总结
9.5 进一步阅读的参考资料
练习题

第10章 设计方法学
10.1 设计流程
10.1.1 体系结构的探索
10.1.2 功能设计
10.1.3 功能验证
10.1.4 综合
10.1.5 物理设计
10.2 设计的优化
10.2.1 面积优化
10.2.2 时序优化
10.2.3 功率优化
10.3 为测试而专门添加的设计
10.3.1 故障模型和故障仿真
10.3.2 扫描设计和边界扫描
10.3.3 内建自测试
10.4 非技术性问题
10.5 总结
10.6 本章总结
10.7 进一步阅读的参考资料

附录A 知识测试问答答案
第1章
1.2 节
1.3 节
1.4 节
1.5 节

第2章
2.1 节
2.2 节
2.3 节
2.4 节

第3章
3.1 节
3.2 节
3.3 节
3.4 节

第4章
4.1 节
4.2 节
4.3 节
4.4 节

第5章
5.1 节
5.2 节
5.3 节

第6章
6.1 节
6.2 节
6.3 节
6.4 节

第7章
7.1 节
7.2 节
7.3 节

第8章
8.1 节
8.2 节
8.3 节
8.4 节
8.5 节

第9章
9.1 节
9.2 节
9.3 节

第10章
10.1 节
10.2 节
10.3 节
10.4 节
附录B 电子电路入门
B.1 元件
B.1.1 电压源
B.1.2 电阻
B.1.3 电容
B.1.4 电感
B.1.5 M()SF、ETs(金属氧化物半导体场效应晶体三极管)
B.1.6 二极管
B.1.7 双极型晶体三极管
B.2 电路
B.2.1 基尔霍夫(Kirchhoff)定律
B.2.2 电阻、电容和电感(R、C、L)的串联和并联
B.2.3 电阻电容(RC)电路
B.2.4 电阻一电感一电容(RLC)电路
B.3 进一步阅读的参考资料
附录c 用于综合的Verilog
c.1 数据类型和操作
C.2 组合逻辑功能
C.3 时序电路
C.4 存储器
附录D Gumnut微控制器核
D.1 Gumnut指令集
D.1.1 算术和逻辑指令
D.1.2 移位指令
D.1.3 存储器和输入/输出指令
D.1.4 分支指令
D.1.5 跳转指令
D.1.6 杂项指令
D.2 Gumnut总线接口
索引

精彩书摘

  第1章 引言和方法学
  第1章将介绍蕴涵在现代数字系统设计中的一些十分重要的思想,其中包括了相当数量的基础知识。其宗旨是阐明全书内容的脉络,以便在随后的各章中展开更深入的讨论。
  首先介绍构成数字系统的基本电路元件,并考察把这些元件连接在一起,完成所需功能的一些方法。我们也要考虑某些必须牢记的非理想效应,因为这些非理想效应会对设计产生强制的约束。然后,我们把关注点集中在基于硬件描述语言模型的系统设计过程上。以系统化的方式处理设计的全过程,以便开发出满足现代应用需求的复杂系统。
  1.1 数字系统和嵌入式系统
  本书是一本讲解数字设计(digital design)的教科书。下面来探讨一下数字和设计这两个词。数字是指以一种特殊的方式,即只用两个电平(I/O)来表示信息的电子线路。这样做的主要目的是提高电路的可靠性和准确性。后面将看到采用数字化办法带来的许多好处。也常用逻辑这个术语来表示数字电路,即用两个电平(I/O)表示逻辑的真值,以便用逻辑规则来分析数字电路。这为构建逻辑系统奠定了强有力的数学基础。设计这个词是指:规划出构建满足给定需求,同时也满足一系列约束条件(即成本、性能、功耗、体积、质量和其他条件)的电路系统的全过程。本书将把讲述的重点放在设计方面,并为设计复杂的数字系统建立一套方法学。
  数字电路的历史悠久且耐人寻味。在数字电路之前,人们已开发应用了机械系统、机电系统以及模拟电路系统。这些系统大部分应用于商业和军事领域,用于进行数值计算,例如,用于账目计算或弹道表的计算。不过,这些系统有许多弊端,包括:计算不准确、速度慢、维护费用昂贵。

前言/序言

  本书的翻译是由两位年轻人和我共同完成的,其中一位已经在生命科学和电子科学的交叉领域探索了10年。由于她的帮助,我只需要翻译第6~10章,以及几个附录。我们互相交换审核,显著提高了翻译的质量,并加快了翻译的进度。
  翻译本书的出发点是,帮助所有对数字系统设计感兴趣的年轻人学习和掌握嵌人式数字系统的新技术和新方法。因此在翻译的过程中,我们尽量从读者理解课程内容的角度出发,思考如何才能更清楚、更准确地用中文介绍书中的内容。由于本书是针对计算机软件专业大学本科二年级学生的课程,所以作者的讲述是从基础电路知识开始,逐步深入,最后试图达到对嵌入式系统有比较深刻和全面理解的高度。
  在翻译的过程中,我们逐渐对作者在这一领域深厚的学术和工程设计功底有了比较深刻的体会。作者通过问答的方式帮助学生理解基础知识的教学方法,确实是每位教师应该学习的。这就是那么多著名大学的教授和Tensilica设计公司的首席科学家对本书做出如此之高评价的原因。
  本书翻译工作的具体安排如下:
  前言、序言、第1~5章的翻译由夏嘉宁完成,目录、第6~10章以及附录A、B、C、D和索引的翻译由夏宇闻完成;其中第9章的翻译初稿由北京航空航天大学高等工程学院的本科生王文杰同学完成。王文杰同学是我在北京航空航天大学的关门弟子,他在完成毕业设计后,以总分第一名的成绩被清华大学微电子所录取为IC设计专业的硕士研究生。
  全书的最终审校和定稿由夏宇闻负责。本书的终稿完成后,经过上海澜起IC设计公司技术总监山岗先生的认真审阅。北京神州龙芯IC设计公司的樊荣、陈岩、甘伟、刘家正、周鹏飞等工程师,和正在实习的研究生李鹏、宋成伟、邢志成、徐树、彭寅、田宇等同学认真阅读了全书的翻译稿,并提出了宝贵的修改意见,他们的反馈显著提高了翻译的质量,在此表示衷心的感谢。